Model { Name "iirorder3" Version 6.1 MdlSubVersion 0 GraphicalInterface { NumRootInports 0 NumRootOutports 0 ParameterArgumentNames "" ComputedModelVersion "1.81" NumModelReferences 0 NumTestPointedSignals 0 } SavedCharacterEncoding "ibm-5348_P100-1997" SaveDefaultBlockParams on SampleTimeColors off LibraryLinkDisplay "none" WideLines off ShowLineDimensions on ShowPortDataTypes on ShowLoopsOnError on IgnoreBidirectionalLines off ShowStorageClass off ShowTestPointIcons on ShowViewerIcons on SortedOrder off ExecutionContextIcon off ShowLinearizationAnnotations on RecordCoverage off CovPath "/" CovSaveName "covdata" CovMetricSettings "dw" CovNameIncrementing off CovHtmlReporting on covSaveCumulativeToWorkspaceVar on CovSaveSingleToWorkspaceVar on CovCumulativeVarName "covCumulativeData" CovCumulativeReport off CovReportOnPause on ScopeRefreshTime 0.035000 OverrideScopeRefreshTime on DisableAllScopes off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" MinMaxOverflowArchiveMode "Overwrite" BlockNameDataTip off BlockParametersDataTip off BlockDescriptionStringDataTip off ToolBar on StatusBar on BrowserShowLibraryLinks off BrowserLookUnderMasks off Created "Mon Oct 10 11:36:59 2005" UpdateHistory "UpdateHistoryNever" ModifiedByFormat "%" LastModifiedBy "umb" ModifiedDateFormat "%" LastModifiedDate "Tue Mar 20 11:23:49 2007" ModelVersionFormat "1.%" ConfigurationManager "None" LinearizationMsg "none" Profile off ParamWorkspaceSource "MATLABWorkspace" AccelSystemTargetFile "accel.tlc" AccelTemplateMakefile "accel_default_tmf" AccelMakeCommand "make_rtw" TryForcingSFcnDF off ExtModeBatchMode off ExtModeEnableFloating on ExtModeTrigType "manual" ExtModeTrigMode "normal" ExtModeTrigPort "1" ExtModeTrigElement "any" ExtModeTrigDuration 1000 ExtModeTrigDurationFloating "auto" ExtModeTrigHoldOff 0 ExtModeTrigDelay 0 ExtModeTrigDirection "rising" ExtModeTrigLevel 0 ExtModeArchiveMode "off" ExtModeAutoIncOneShot off ExtModeIncDirWhenArm off ExtModeAddSuffixToVar off ExtModeWriteAllDataToWs off ExtModeArmWhenConnect on ExtModeSkipDownloadWhenConnect off ExtModeLogAll on ExtModeAutoUpdateStatusClock on BufferReuse on StrictBusMsg "None" ProdHWDeviceType "32-bit Generic" ShowModelReferenceBlockVersion off ShowModelReferenceBlockIO off Array { Type "Handle" Dimension 1 Simulink.ConfigSet { $ObjectID 1 Version "1.0.4" Array { Type "Handle" Dimension 7 Simulink.SolverCC { $ObjectID 2 Version "1.0.4" StartTime "0.0" StopTime "255" AbsTol "auto" FixedStep "auto" InitialStep "auto" MaxNumMinSteps "-1" MaxOrder 5 ExtrapolationOrder 4 NumberNewtonIterations 1 MaxStep "auto" MinStep "auto" RelTol "1e-3" SolverMode "SingleTasking" Solver "FixedStepDiscrete" SolverName "FixedStepDiscrete" ZeroCrossControl "UseLocalSettings" PositivePriorityOrder off AutoInsertRateTranBlk off SampleTimeConstraint "Unconstrained" RateTranMode "Deterministic" } Simulink.DataIOCC { $ObjectID 3 Version "1.0.4" Decimation "1" ExternalInput "[t, u]" FinalStateName "xFinal" InitialState "xInitial" LimitDataPoints on MaxDataPoints "1000" LoadExternalInput off LoadInitialState off SaveFinalState off SaveFormat "Array" SaveOutput on SaveState off SignalLogging on SaveTime on StateSaveName "xout" TimeSaveName "tout" OutputSaveName "yout" SignalLoggingName "logsout" OutputOption "RefineOutputTimes" OutputTimes "[]" Refine "1" } Simulink.OptimizationCC { $ObjectID 4 Array { Type "Cell" Dimension 5 Cell "ZeroExternalMemoryAtStartup" Cell "ZeroInternalMemoryAtStartup" Cell "InitFltsAndDblsToZero" Cell "OptimizeModelRefInitCode" Cell "NoFixptDivByZeroProtection" PropName "DisabledProps" } Version "1.0.4" BlockReduction on BooleanDataType on ConditionallyExecuteInputs on ConditionalExecOptimization "on_for_testing" InlineParams off InlineInvariantSignals on OptimizeBlockIOStorage on BufferReuse on EnforceIntegerDowncast on ExpressionFolding on FoldNonRolledExpr on LocalBlockOutputs on ParameterPooling on RollThreshold 5 SystemCodeInlineAuto off StateBitsets off DataBitsets off UseTempVars off ZeroExternalMemoryAtStartup on ZeroInternalMemoryAtStartup on InitFltsAndDblsToZero on NoFixptDivByZeroProtection off OptimizeModelRefInitCode off LifeSpan "inf" } Simulink.DebuggingCC { $ObjectID 5 Version "1.0.4" RTPrefix "error" ConsistencyChecking "none" ArrayBoundsChecking "none" AlgebraicLoopMsg "warning" ArtificialAlgebraicLoopMsg "warning" CheckSSInitialOutputMsg on CheckExecutionContextPreStartOutputMsg off CheckExecutionContextRuntimeOutputMsg off SignalResolutionControl "TryResolveAllWithWarning" BlockPriorityViolationMsg "warning" MinStepSizeMsg "warning" SolverPrmCheckMsg "warning" InheritedTsInSrcMsg "warning" DiscreteInheritContinuousMsg "warning" MultiTaskDSMMsg "warning" MultiTaskRateTransMsg "error" SingleTaskRateTransMsg "none" TasksWithSamePriorityMsg "warning" CheckMatrixSingularityMsg "none" IntegerOverflowMsg "warning" Int32ToFloatConvMsg "warning" ParameterDowncastMsg "error" ParameterOverflowMsg "error" ParameterPrecisionLossMsg "warning" UnderSpecifiedDataTypeMsg "none" UnnecessaryDatatypeConvMsg "none" VectorMatrixConversionMsg "none" InvalidFcnCallConnMsg "error" FcnCallInpInsideContextMsg "Use local settings" SignalLabelMismatchMsg "none" UnconnectedInputMsg "warning" UnconnectedOutputMsg "warning" UnconnectedLineMsg "warning" SFcnCompatibilityMsg "none" UniqueDataStoreMsg "none" RootOutportRequireBusObject "warning" AssertControl "UseLocalSettings" EnableOverflowDetection off ModelReferenceIOMsg "none" ModelReferenceVersionMismatchMessage "none" ModelReferenceIOMismatchMessage "none" ModelReferenceCSMismatchMessage "none" ModelReferenceSimTargetVerbose off UnknownTsInhSupMsg "warning" ModelReferenceDataLoggingMessage "warning" ModelReferenceSymbolNameMessage "warning" } Simulink.HardwareCC { $ObjectID 6 Version "1.0.4" ProdBitPerChar 8 ProdBitPerShort 16 ProdBitPerInt 32 ProdBitPerLong 32 ProdIntDivRoundTo "Undefined" ProdEndianess "Unspecified" ProdWordSize 32 ProdShiftRightIntArith on ProdHWDeviceType "32-bit Generic" TargetBitPerChar 8 TargetBitPerShort 16 TargetBitPerInt 32 TargetBitPerLong 32 TargetShiftRightIntArith on TargetIntDivRoundTo "Undefined" TargetEndianess "Unspecified" TargetWordSize 32 TargetTypeEmulationWarnSuppressLevel 0 TargetPreprocMaxBitsSint 32 TargetPreprocMaxBitsUint 32 TargetHWDeviceType "Specified" TargetUnknown off ProdEqTarget on } Simulink.ModelReferenceCC { $ObjectID 7 Version "1.0.4" UpdateModelReferenceTargets "IfOutOfDateOrStructuralChange" CheckModelReferenceTargetMessage "error" ModelReferenceNumInstancesAllowed "Multi" ModelReferencePassRootInputsByReference on ModelReferenceMinAlgLoopOccurrences off } Simulink.RTWCC { $BackupClass "Simulink.RTWCC" $ObjectID 8 Array { Type "Cell" Dimension 1 Cell "IncludeHyperlinkInReport" PropName "DisabledProps" } Version "1.0.4" SystemTargetFile "grt.tlc" GenCodeOnly off MakeCommand "make_rtw" TemplateMakefile "grt_default_tmf" GenerateReport off SaveLog off RTWVerbose on RetainRTWFile off ProfileTLC off TLCDebug off TLCCoverage off TLCAssert off ProcessScriptMode "Default" ConfigurationMode "Optimized" ConfigAtBuild off IncludeHyperlinkInReport off LaunchReport off Array { Type "Handle" Dimension 2 Simulink.CodeAppCC { $ObjectID 9 Array { Type "Cell" Dimension 9 Cell "IgnoreCustomStorageClasses" Cell "InsertBlockDesc" Cell "SFDataObjDesc" Cell "SimulinkDataObjDesc" Cell "DefineNamingRule" Cell "SignalNamingRule" Cell "ParamNamingRule" Cell "InlinedPrmAccess" Cell "CustomSymbolStr" PropName "DisabledProps" } Version "1.0.4" ForceParamTrailComments off GenerateComments on IgnoreCustomStorageClasses on IncHierarchyInIds off MaxIdLength 31 PreserveName off PreserveNameWithParent off ShowEliminatedStatement off IncAutoGenComments off SimulinkDataObjDesc off SFDataObjDesc off IncDataTypeInIds off PrefixModelToSubsysFcnNames on CustomSymbolStr "$R$N$M" MangleLength 1 DefineNamingRule "None" ParamNamingRule "None" SignalNamingRule "None" InsertBlockDesc off SimulinkBlockComments on EnableCustomComments off InlinedPrmAccess "Literals" ReqsInCode off } Simulink.GRTTargetCC { $BackupClass "Simulink.TargetCC" $ObjectID 10 Array { Type "Cell" Dimension 12 Cell "IncludeMdlTerminateFcn" Cell "CombineOutputUpdateFcns" Cell "SuppressErrorStatus" Cell "ERTCustomFileBanners" Cell "GenerateSampleERTMain" Cell "MultiInstanceERTCode" Cell "PurelyIntegerCode" Cell "SupportNonFinite" Cell "SupportComplex" Cell "SupportAbsoluteTime" Cell "SupportContinuousTime" Cell "SupportNonInlinedSFcns" PropName "DisabledProps" } Version "1.0.4" TargetFcnLib "ansi_tfl_tmw.mat" GenFloatMathFcnCalls "ANSI_C" UtilityFuncGeneration "Auto" GenerateFullHeader on GenerateSampleERTMain off IsPILTarget off ModelReferenceCompliant on IncludeMdlTerminateFcn on CombineOutputUpdateFcns off SuppressErrorStatus off IncludeFileDelimiter "Auto" ERTCustomFileBanners off SupportAbsoluteTime on LogVarNameModifier "rt_" MatFileLogging on MultiInstanceERTCode off SupportNonFinite on SupportComplex on PurelyIntegerCode off SupportContinuousTime on SupportNonInlinedSFcns on ExtMode off ExtModeStaticAlloc off ExtModeTesting off ExtModeStaticAllocSize 1000000 ExtModeTransport 0 ExtModeMexFile "ext_comm" RTWCAPISignals off RTWCAPIParams off RTWCAPIStates off GenerateASAP2 off } PropName "Components" } } PropName "Components" } Name "Configuration" SimulationMode "normal" CurrentDlgPage "Solver" } PropName "ConfigurationSets" } Simulink.ConfigSet { $PropName "ActiveConfigurationSet" $ObjectID 1 } BlockDefaults { Orientation "right" ForegroundColor "black" BackgroundColor "white" DropShadow off NamePlacement "normal" FontName "Helvetica" FontSize 14 FontWeight "normal" FontAngle "normal" ShowName on } BlockParameterDefaults { Block { BlockType Constant Value "1" VectorParams1D on OutDataTypeMode "Inherit from 'Constant value'" OutDataType "sfix(16)" ConRadixGroup "Use specified scaling" OutScaling "2^0" SampleTime "inf" } Block { BlockType DiscretePulseGenerator PulseType "Sample based" TimeSource "Use simulation time" Amplitude "1" Period "2" PulseWidth "1" PhaseDelay "0" SampleTime "1" VectorParams1D on } Block { BlockType SignalConversion OverrideOpt off } Block { BlockType Inport BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" Interpolate on } Block { BlockType MultiPortSwitch Inputs "4" zeroidx off InputSameDT on OutDataTypeMode "Inherit via internal rule" RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Outport Port "1" BusObject "BusObject" BusOutputAsStruct off PortDimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" OutputWhenDisabled "held" InitialOutput "[]" } Block { BlockType Reference } Block { BlockType Scope Floating off ModelBased off TickLabels "OneTimeTick" ZoomMode "on" Grid "on" TimeRange "auto" YMin "-5" YMax "5" SaveToWorkspace off SaveName "ScopeData" LimitDataPoints on MaxDataPoints "5000" Decimation "1" SampleInput off SampleTime "0" } Block { BlockType "S-Function" FunctionName "system" SFunctionModules "''" PortCounts "[]" } Block { BlockType SignalSpecification Dimensions "-1" SampleTime "-1" DataType "auto" OutDataType "sfix(16)" OutScaling "2^0" SignalType "auto" SamplingMode "auto" } Block { BlockType Sin SineType "Time based" TimeSource "Use simulation time" Amplitude "1" Bias "0" Frequency "1" Phase "0" Samples "10" Offset "0" SampleTime "-1" VectorParams1D on } Block { BlockType SubSystem ShowPortLabels on Permissions "ReadWrite" PermitHierarchicalResolution "All" SystemSampleTime "-1" RTWFcnNameOpts "Auto" RTWFileNameOpts "Auto" SimViewingDevice off DataTypeOverride "UseLocalSettings" MinMaxOverflowLogging "UseLocalSettings" } Block { BlockType Sum IconShape "rectangular" Inputs "++" InputSameDT on OutDataTypeMode "Same as first input" OutDataType "sfix(16)" OutScaling "2^0" LockScale off RndMeth "Floor" SaturateOnIntegerOverflow on SampleTime "-1" } Block { BlockType Switch Criteria "u2 >= Threshold" Threshold "0" InputSameDT on OutDataTypeMode "Inherit via internal rule" RndMeth "Floor" SaturateOnIntegerOverflow on ZeroCross on SampleTime "-1" } Block { BlockType Terminator } Block { BlockType ToWorkspace VariableName "simulink_output" MaxDataPoints "1000" Decimation "1" SampleTime "0" FixptAsFi off } Block { BlockType UniformRandomNumber Minimum "-1" Maximum "1" Seed "0" SampleTime "-1" VectorParams1D on } } AnnotationDefaults { HorizontalAlignment "center" VerticalAlignment "middle" ForegroundColor "black" BackgroundColor "white" DropShadow off FontName "Helvetica" FontSize 10 FontWeight "normal" FontAngle "normal" } LineDefaults { FontName "Helvetica" FontSize 9 FontWeight "normal" FontAngle "normal" } System { Name "iirorder3" Location [5, 86, 1012, 621] Open on ModelBrowserVisibility off ModelBrowserWidth 200 ScreenColor "white" PaperOrientation "landscape" PaperPositionMode "auto" PaperType "usletter" PaperUnits "inches" ZoomFactor "63" ReportName "simulink-default.rpt" Block { BlockType Reference Name " System Generator" Tag "genX" Ports [] Position [32, 503, 83, 553] ShowName off AttributesFormatString "System\\nGenerator" UserDataPersistent on UserData "DataTag0" FontSize 10 SourceBlock "xbsIndex_r3/ System Generator" SourceType "Xilinx System Generator" ShowPortLabels on xilinxfamily "Spartan3" part "xc3s200" speed "-5" package "ft256" synthesis_tool "XST" directory "./netlist" testbench off simulink_period "1" sysclk_period "100" incr_netlist off trim_vbits "Everywhere in SubSystem" dbl_ovrd "According to Block Masks" core_generation "According to Block Masks" run_coregen off deprecated_control off eval_field "0" } Block { BlockType Reference Name "Gateway In" Ports [1, 1] Position [270, 419, 325, 441] SourceBlock "xbsIndex_r3/Gateway In" SourceType "Xilinx Gateway In" arith_type "Signed (2's comp)" n_bits "10" bin_pt "0" quantization "Truncate" overflow "Wrap" period "1" timing_constraint "None" locs_specified off LOCs "{}" needs_fixed_name off dbl_ovrd off show_param off xl_area "[0, 0, 0, 0, 0, 0, 0]" xl_use_area off } Block { BlockType Reference Name "Gateway Out2" Ports [1, 1] Position [1265, 259, 1320, 281] SourceBlock "xbsIndex_r3/Gateway Out" SourceType "Xilinx Gateway Out" output_type "Double" nbits "8" bin_pt "6" arith_type "Boolean" quantization "Truncate" overflow "Wrap" hdl_port on timing_constraint "None" locs_specified off LOCs "{}" needs_fixed_name off show_param off xl_area "[0, 0, 0, 0, 0, 0, 0]" xl_use_area off Port { PortNumber 1 Name "IIR output" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" ShowSigGenPortName on } } Block { BlockType Reference Name "Gateway Out3" Ports [1, 1] Position [1265, 189, 1320, 211] SourceBlock "xbsIndex_r3/Gateway Out" SourceType "Xilinx Gateway Out" output_type "Double" nbits "8" bin_pt "6" arith_type "Boolean" quantization "Truncate" overflow "Wrap" hdl_port on timing_constraint "None" locs_specified off LOCs "{}" needs_fixed_name off show_param off xl_area "[0, 0, 0, 0, 0, 0, 0]" xl_use_area off } Block { BlockType Reference Name "Manual Switch" Ports [2, 1] Position [195, 287, 225, 323] NamePlacement "alternate" SourceBlock "simulink/Signal\nRouting/Manual Switch" SourceType "Manual Switch" ShowPortLabels on sw "1" action "0" Port { PortNumber 1 Name "input" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" ShowSigGenPortName on } } Block { BlockType Reference Name "Manual Switch1" Ports [2, 1] Position [145, 352, 175, 388] SourceBlock "simulink/Signal\nRouting/Manual Switch" SourceType "Manual Switch" ShowPortLabels on sw "1" action "0" } Block { BlockType Reference Name "Repeating\nSequence\nStair" Ports [0, 1] Position [50, 290, 80, 320] SourceBlock "simulink/Sources/Repeating\nSequence\nStair" SourceType "Repeating Sequence Stair" ShowPortLabels on OutValues "[10 zeros(1, 300)].'" tsamp "-1" OutputDataTypeScalingMode "Specify via dialog" OutDataType "float('double')" ConRadixGroup "Best Precision: Vector-wise" OutScaling "2^-12" LockScale off } Block { BlockType Scope Name "Scope" Ports [4] Position [1455, 25, 1535, 305] Location [5, 54, 1285, 993] Open off NumInputPorts "4" List { ListType AxesTitles axes1 "%" axes2 "%" axes3 "%" axes4 "%" } YMin "-120~-30~-120~-120" YMax "120~30~120~120" DataFormat "StructureWithTime" } Block { BlockType Sin Name "Sine Wave" Ports [0, 1] Position [45, 145, 75, 175] SineType "Time based" Amplitude "100" Frequency "2*pi/32" SampleTime "1" Port { PortNumber 1 Name "sine" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" ShowSigGenPortName on } } Block { BlockType Sin Name "Sine Wave1" Ports [0, 1] Position [45, 200, 75, 230] SineType "Time based" Amplitude "100" Frequency "12*2*pi/32" SampleTime "1" Port { PortNumber 1 Name "noise" RTWStorageClass "Auto" DataLoggingNameMode "SignalName" ShowSigGenPortName on } } Block { BlockType ToWorkspace Name "To Workspace x" Position [270, 510, 330, 540] VariableName "x" MaxDataPoints "inf" SampleTime "-1" SaveFormat "Array" } Block { BlockType ToWorkspace Name "To Workspace y" Position [1400, 415, 1460, 445] VariableName "y" MaxDataPoints "inf" SampleTime "-1" SaveFormat "Array" } Block { BlockType UniformRandomNumber Name "Uniform Random\nNumber" Position [45, 379, 75, 411] Minimum "-30" Maximum "30" SampleTime "0" } Block { BlockType Reference Name "a[1]" Ports [1, 1] Position [592, 335, 648, 390] Orientation "up" FontSize 10 SourceBlock "xbsIndex_r3/CMult" SourceType "Xilinx Constant Multiplier" const "0" show_cparam on const_n_bits "12" const_bin_pt "10" show_output_param off precision "Full" arith_type "Signed (2's comp)" n_bits "16" bin_pt "8" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" latency "0" explicit_period on period "1" en off dbl_ovrd off show_param off mult_type "Parallel" oversample "2" mem_type "Distributed RAM" pipeline off use_rpm on placement_style "Rectangular Shape" gen_core off xl_area "[0, 0, 0, 0, 0, 0, 0]" xl_use_area off } Block { BlockType Reference Name "a[2]" Ports [1, 1] Position [802, 335, 858, 390] Orientation "up" FontSize 10 SourceBlock "xbsIndex_r3/CMult" SourceType "Xilinx Constant Multiplier" const "0" show_cparam on const_n_bits "12" const_bin_pt "11" show_output_param off precision "Full" arith_type "Signed (2's comp)" n_bits "16" bin_pt "8" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" latency "0" explicit_period on period "1" en off dbl_ovrd off show_param off mult_type "Parallel" oversample "2" mem_type "Distributed RAM" pipeline off use_rpm on placement_style "Rectangular Shape" gen_core off xl_area "[0, 0, 0, 0, 0, 0, 0]" xl_use_area off } Block { BlockType Reference Name "a[3]" Ports [1, 1] Position [987, 330, 1043, 385] Orientation "up" FontSize 10 SourceBlock "xbsIndex_r3/CMult" SourceType "Xilinx Constant Multiplier" const "0" show_cparam on const_n_bits "12" const_bin_pt "10" show_output_param off precision "Full" arith_type "Signed (2's comp)" n_bits "16" bin_pt "8" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" latency "0" explicit_period on period "1" en off dbl_ovrd off show_param off mult_type "Parallel" oversample "2" mem_type "Distributed RAM" pipeline off use_rpm on placement_style "Rectangular Shape" gen_core off xl_area "[0, 0, 0, 0, 0, 0, 0]" xl_use_area off } Block { BlockType Reference Name "b[0]" Ports [1, 1] Position [1072, 490, 1128, 545] Orientation "down" NamePlacement "alternate" FontSize 10 SourceBlock "xbsIndex_r3/CMult" SourceType "Xilinx Constant Multiplier" const "0" show_cparam on const_n_bits "8" const_bin_pt "8" show_output_param off precision "Full" arith_type "Signed (2's comp)" n_bits "8" bin_pt "6" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" latency "0" explicit_period off period "1" en off dbl_ovrd off show_param off mult_type "Parallel" oversample "2" mem_type "Distributed RAM" pipeline off use_rpm on placement_style "Rectangular Shape" gen_core off xl_area "[0, 0, 0, 0, 0, 0, 0]" xl_use_area off } Block { BlockType Reference Name "b[1]" Ports [1, 1] Position [867, 495, 923, 550] Orientation "down" NamePlacement "alternate" FontSize 10 SourceBlock "xbsIndex_r3/CMult" SourceType "Xilinx Constant Multiplier" const "0" show_cparam on const_n_bits "8" const_bin_pt "8" show_output_param off precision "Full" arith_type "Signed (2's comp)" n_bits "8" bin_pt "6" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" latency "0" explicit_period off period "1" en off dbl_ovrd off show_param off mult_type "Parallel" oversample "2" mem_type "Distributed RAM" pipeline off use_rpm on placement_style "Rectangular Shape" gen_core off xl_area "[0, 0, 0, 0, 0, 0, 0]" xl_use_area off } Block { BlockType Reference Name "b[2]" Ports [1, 1] Position [662, 495, 718, 550] Orientation "down" NamePlacement "alternate" FontSize 10 SourceBlock "xbsIndex_r3/CMult" SourceType "Xilinx Constant Multiplier" const "0" show_cparam on const_n_bits "8" const_bin_pt "8" show_output_param off precision "Full" arith_type "Signed (2's comp)" n_bits "8" bin_pt "6" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" latency "0" explicit_period off period "1" en off dbl_ovrd off show_param off mult_type "Parallel" oversample "2" mem_type "Distributed RAM" pipeline off use_rpm on placement_style "Rectangular Shape" gen_core off xl_area "[0, 0, 0, 0, 0, 0, 0]" xl_use_area off } Block { BlockType Reference Name "b[3]" Ports [1, 1] Position [497, 495, 553, 550] Orientation "down" NamePlacement "alternate" FontSize 10 SourceBlock "xbsIndex_r3/CMult" SourceType "Xilinx Constant Multiplier" const "0" show_cparam on const_n_bits "8" const_bin_pt "8" show_output_param off precision "Full" arith_type "Signed (2's comp)" n_bits "8" bin_pt "6" quantization "Round (unbiased: +/- Inf)" overflow "Wrap" latency "0" explicit_period off period "1" en off dbl_ovrd off show_param off mult_type "Parallel" oversample "2" mem_type "Distributed RAM" pipeline off use_rpm on placement_style "Rectangular Shape" gen_core off xl_area "[0, 0, 0, 0, 0, 0, 0]" xl_use_area off } Block { BlockType Sum Name "observed" Ports [2, 1] Position [125, 192, 155, 223] InputSameDT off OutDataTypeMode "Inherit via internal rule" OutScaling "2^-10" SaturateOnIntegerOverflow off } Line { Name "sine" Labels [0, 0] SrcBlock "Sine Wave" SrcPort 1 Points [0, 0; 30, 0] Branch { DstBlock "observed" DstPort 1 } Branch { Points [0, -100] DstBlock "Scope" DstPort 1 } } Line { Name "input" Labels [0, 0] SrcBlock "Manual Switch" SrcPort 1 Points [0, 0; -5, 0] Branch { Points [25, 0; 0, 125] DstBlock "Gateway In" DstPort 1 } Branch { Points [0, 220] DstBlock "To Workspace x" DstPort 1 } Branch { Labels [2, 0] Points [0, -175] DstBlock "Scope" DstPort 2 } } Line { SrcBlock "observed" SrcPort 1 Points [15, 0; 0, 85] DstBlock "Manual Switch" DstPort 1 } Line { Name "noise" Labels [0, 0] SrcBlock "Sine Wave1" SrcPort 1 DstBlock "observed" DstPort 2 } Line { SrcBlock "Manual Switch1" SrcPort 1 Points [0, -25; -20, 0; 0, -30] DstBlock "Manual Switch" DstPort 2 } Line { SrcBlock "Repeating\nSequence\nStair" SrcPort 1 Points [30, 0; 0, 55] DstBlock "Manual Switch1" DstPort 1 } Line { SrcBlock "Uniform Random\nNumber" SrcPort 1 Points [0, -15] DstBlock "Manual Switch1" DstPort 2 } Line { SrcBlock "Gateway Out3" SrcPort 1 DstBlock "Scope" DstPort 3 } Line { Name "IIR output" Labels [0, 0] SrcBlock "Gateway Out2" SrcPort 1 Points [0, 0; 55, 0] Branch { DstBlock "Scope" DstPort 4 } Branch { Points [0, 160] DstBlock "To Workspace y" DstPort 1 } } Annotation { Name "A = [ 1 -1.59212298544274 1.38724212079689 " "-0.52207562027796];\nB = [0.04297742623024 0.09354433130786 0.09354433130" "786 0.04297742623024];" Position [831, 746] DropShadow on FontName "Arial" FontSize 20 } Annotation { Name "Design Name: \nDesigner" ":\nDate:\nVersion:" Position [19, 645] HorizontalAlignment "left" DropShadow on FontName "Arial" FontSize 22 } } } MatData { NumRecords 1 DataRecord { Tag DataTag0 Data " %)30 . .!$ 8 ( @ % " "\" $ ! 0 % 0 !@ $ , 7=H97)E(&EN(%-U8E-Y&9A;6EL>0 !P87)T" " 6YT:" "&5S:7-?=&]O; 9&ER96-T;W)Y '1E7-C;&" "M?<&5R:6]D 8V]R95]G96YE&,S&EL:6YX9F%M:6QY '!A